2009/12/13

麻雀大会ダイジェスト

こんにちは。はじめまして。Team 喰いタンの小林です。

喰いタンというのは麻雀用語の 1 つなのですが、その名が示すように Team 喰いタンのメンバは皆、麻雀が大好きです。実は、班結成時は、僕だけ麻雀ができなかったのですが、僕もメンバに教えてもらって少し打てるようになりました。僕は Team 喰いタンのコンパイラ担当なのですが、コンパイラ担当の仕事に関しては竹井君がちゃんとした記事を書いてくれていることですし、先日行われた麻雀大会について、大会の得点の推移を表した下のグラフに添ってダイジェストをお送りしたいと思います。

参加したのは、Team C#、Team 喰いタン、Team ksk、モン班の 4 班です。Team ksk は以前の記事にある宣言通り、班の存亡を懸けて必死で戦いました。14:30、戦いの火蓋が切って落とされました。昼間から何やっているんでしょうね。

1st
先峰戦です。僕 (小林) や竹井くんが打った半荘です。この半荘は、麻雀を始めたばかりの 4 人で行われたため、とても長いものとなりました。初っ端の局から、初めて麻雀を打つ Team C# の竹井君が 12000 点を和了り、場が大きく盛り上がりました。さて、この半荘、僕がバカづきして、 Team 喰いタンの点数が鰻昇りしています。僕は初心者で下手なのですが、親をしている時に 6 連荘して、その途中でリーチドラが 7 つも乗る (親倍) など高得点を得、東場 2 局だけで 52500 点を稼ぎました! 更にこの時、竹井君と僕の W ロンとなったため、振り込んでしまった Team ksk は大打撃を受けてしまいました。この時 Team ksk の代表として打っていた hota さんは、この時のショックから抵抗力を落としてしまい、ついにはインフルエンザにかかってしまいました。ごめんなさい……

Team ksk、その後がんばったのですが、先峰戦から 35600 点を失い早くも解体の危機です! Team 喰いタンはその後も振り込むことなく、高得点を維持し続けました。最終的には +48600 点でした。いやはや。僕、その前に打った時は焼き鳥だったんですけどね。Team C# も、良い調子のまま先峰戦を終えました。 +17800 点でした。モン班は、なかなか流れに乗れず、不調でした。残念。 -30800 点となってしまいました。

初戦から大荒れの模様です。

2nd
次峰戦です。秋葉君の活躍 (+11600 点) で Team ksk は少し持ち直しました。Team C# は少し点を落としたものの、安定しています。 -3800 点でした。モン班、 1 度しか振り込んでいないのですが、和了ることができず、 -21000 点でした。どうも調子が出ません。Team 喰いタンは、途中まであまり点数が芳しくなかったのですが、南場で大きく稼ぎ、最終的に +13200 点と、この半荘で 1 位になりました。最後は海底満貫を和了ってかっこ良く決めてくれました。

3rd
中堅戦その 1 です。Team ksk は、少し上下しつつも +2500 点を獲得しました。この半荘では、Team 喰いタンの点数がドラマティックな動きをしています。東場終了時にはなんと -28700 点と大きく落ち込んでいるのですが、なんと四暗刻ツモ! 32300 点を獲得し、一気に得点がプラスに。その後も 18000 点を和了った直後に 13300 点の直撃を受けるなど、激しい麻雀を見せてくれました。最終的に、 +13200 点と、1 位に躍り出ました。

Team 喰いタンは、点数が落ち込んでいる時は一瞬 Team C# に抜かされてしまっています。Team C# は、親をしている時に役満をツモられるなど大きな出費がありながら最終的には +4100 点です。四暗刻が出るまでは +27500 点と堂々の 1 位だったので悔やまれます。麻雀って先が読めませんね。モン班、やはり 1 度も振り込んでいないのに -19800 点です。ツキがなかなか来ません。

4th
中堅戦その 2 です。班の存続を懸けて戦っていた Team ksk がまさかのチョンボ (ノーテンリーチ) で、8000 点を失っています。結局、この半荘で -14900 点です。他の班は皆プラスでした。調子の悪かったモン班ですが、この半荘は +2200 点でした。Team C# は、花元君が最後に 9000 点を和了り、 +5300 点。Team 喰いタンは、1 度も振り込まない安定した打ち回しで、 +7400 点でした。

この辺でみんなで食堂に行って休憩です。食べ終わって戻ってきたら 19:30 になっていました。

5th
副将戦です。食堂でゲンを担いでカツ丼を食べていた Team ksk の打ち手が素晴らしい活躍を見せてくれました。失点を抑えつつ高得点の役を和了り、 +22500 点を獲得。Team C# は得点が上下しましたが、 +3200 点でした。この班は全体的に安定していますね。今まで皆半荘で 1 位となっていた Team 喰いタンは、最初の局で +13000 点を和了って良いスタートを切ったと思いきや、失点が重なり、 -13400 点と 4 位になってしまいました。モン班、最初の局で 12000 点を失ったのが響き、その後は振り込みませんでしたが -12300 点でした。残念。

6th
大将戦です。この半荘が最後となりました。今まで不調だったモン班が本気を出しました! 振り込むことなく、5000 点以上を和了りまくり、最終局には倍満で 16600 点を獲得です! 合計で +31000 点と、輝いていました。Team ksk は、1 度しか和了れず、 -12900 点となってしまいました。チョンボがまだ響いていたのでしょうか。Team C# は、最初の方の落ち込みを南場の頑張りでカバーし、 +3200 点でした。Team 喰いタンからは、麻雀好きのこの班の中でも別格の実力を持つ打ち手が打ったのですが、どうも今回はひどくツキが無かったようで、東場で親満を和了るも、 -23300 点でした。本当に麻雀は運の要素も大きいゲームですね。もう 1 半荘もしたら、Team 喰いタンは Team C# に追い抜かされていたかも知れませんでした。危ない危ない。

大将戦が終わったころには、22:30 になっていました。


実験開始から早くも 2 週間でレイトレを動作させるという快挙を成し遂げた Team ksk は 3 位となり、解体することになりました。Team ksk の解体は Twitter など各地で話題になり、「まさかあの Team ksk が」「Team ksk はとても一翻だった」「そんなことよりもっかい麻雀しようぜ」など、その解体を惜しむ声が上がりました。

こうして、長い戦いの幕が下りました。

遊んでばかりいないで、 CPU 実験もしっかり頑張っていきたいと思います。それでは!

2009/12/10

連載企画 CPU 実験教室 実践編 第 3 回 クロック モジュールの作り方

今回は、クロック モジュールの書き方のお話です。クロック モジュール自体の書き方は旧基板とそんなに変わりませんが、まだ手で回路につないでいる人も多いでしょう。今回はそんな手間を大幅に省いてくれる Core Generator のお話です。

りるふぇすたん 今回はようやくまともな話が聞けそうね

クロック周りの UCF

CONFIG PART=5VLX50TFF1136-1;

NET CLK_48M  LOC=H17 | IOSTANDARD=LVTTL;  # CLK48M
NET CLK_66M  LOC=J16 | IOSTANDARD=LVTTL;  # MCLK1
NET CLK_RST  LOC=H18 | IOSTANDARD=LVTTL;  # XRST

クロックは適当に 66MHz と 48MHz の信号を取り出してやれば OK です。リセットボタンは上の CLK_RST と書いてあるやつで、押されていない状態で 1、押されている状態で 0 です (low-active)。

クロック モジュールの基本

基本は、上の UCF で外部のチップから IO を通して入れた生のクロック信号を、一度 ibufg を通して、DCM に入れ、適当にループを形成して、必要な信号を bufg を通して、実際のクロックとして使用します。今まではこれを手で書いていたわけですが、Core Generator を使うとこれをまとめて簡単に作ってくれるのです。

Core Generator の使い方

  1. プロジェクトに新しいファイルを追加しようとします

    新規ファイルの追加

  2. IP (CORE Generator & Architecture Wizard) を選択します

    ソース タイプを選択

  3. 必要なモジュールを選びます

    モジュールを選択

    確認画面

  4. 追加されたモジュールをダブル クリックして開きます

    モジュールを開く

  5. 編集します

    編集画面

クロックの逓倍については、CLKFX 系にチェックを入れないと編集する画面が出ないので注意してください

あいずたん Core Generator で作ったモジュールは、[Processes] ペインにある [View HDL Instantiation Template] でインターフェース、[View HDL Source] でシミュレーション用ソース コードが見れるよっ!

リセット ボタン・LOCK 信号について

LOCK について

DCM は、クロックを入れ始めた始めのほうはまだ信号が不安定です。クロックの周期が安定しているとは限りません。そこで、LOCK という信号を出して、LOCK=1 になったら使用してよいというような感じで作られています。LOCK をリセット信号にあわせて出力するとよいでしょう。

リセット信号を混ぜたクロック モジュールの書き方

リセット信号と LOCK 信号をかませることを想定して、以下のようにさっき生成したモジュールを使用すればいいことが分かります。roc は相変わらず使えます。

library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;

entity clockgenerator is
    port (
        globalclk       : in  std_logic;    -- 生の 66MHz グローバル クロックを入れる
        globalrst       : in  std_logic;    -- リセット ボタンの信号を入れる
        clock66         : out std_logic;
        clock133        : out std_logic;
        clock133_180    : out std_logic;
        reset           : out std_logic);   -- いろいろまとめてリセット信号として出す。
end clockgenerator;

architecture behavioral of clockgenerator is
    component clockgen                      -- Core Generatorで生成したもの
        port (
            clkin_in        : in std_logic;
            rst_in          : in std_logic;
            clkin_ibufg_out : out std_logic;
            clk0_out        : out std_logic;
            clk2x_out       : out std_logic;
            clk2x180_out    : out std_logic;
            locked_out      : out std_logic);
    end component;

    signal rst      : std_logic;
    signal rocrst   : std_logic;
    signal lock     : std_logic;
begin
    roc_inst : roc port map (o => rocrst);  -- roc は新基板でもそのまま使える。
    rst <= rocrst or (not globalrst);       -- ここでは、リセット信号で DCM ごと初期化しているが、DCM は初期化しないのも手
    reset <= rst or (not lock);
    inst_clockgen : clockgen port map(
        clkin_in        => globalclk,
        rst_in          => rst,
        clkin_ibufg_out => open,            -- 明示的に open 使っても無駄に警告されます。ise バカだろ
        clk0_out        => clock66,
        clk2x_out       => clock133,
        clk2x180_out    => clock133_180,
        locked_out      => lock);
end behavioral;

最後に

もし何か意見・質問ございましたら下のコメント欄にお寄せください。もしコメントが寄せられたら今後の励みになるので是非ください。

2009/12/09

地下 大掃除

誇りだけでなく埃にまみれた竹井です。先日は地下の大大大掃除大会がありました。

Date: Fri, Dec 4, 2009 at 3:27 PM
Subject: 地下の大掃除12/8

3年生の皆様 cc:先生方、4年生

11/20に実施された産業医の7号館巡視の折、地下の015号室前廊下及びリフレッシュルーム(学生 ロッカーの前)の整理整頓を
厳しく指摘されました。

避難路に当たっていますので、安全のために大掃除を実施いたします。

大型不要物品の回収日程とあわせ、12月8日(火)プロッセサ実験終了後に全員で行ないま すので、ご協力よろしくお願いします。

廊下の本棚やロッカーの上においてある物はすべて破棄しますので、必要な物は前日まで に回収して下さい。

情報科学科事務室

↑ プロッセサ!? なんだろうこの誤植・・・(笑)

ことの発端は先月。われわれ理情を含む工学系では産業医巡視というイベントが年に 1 度あるのですが、先月末にそのお偉い先生いらっしゃいまして、僕たちのトーキョー アンダーグラウンドを視察していきました。その結果、様々な指摘を受けまして・・・

  • ゴミはゴミ箱に捨てましょう。
  • 床の上にモノを置くのはやめましょう。
  • 非常口付近に燃えやすいものを置かないようにしましょう。

などなど。まぁ小学生でも守れるような低レベルな注意もされたんですが、一番大きな問題になったのは最後のヤツ。ロッカーがおいてあるリフレッシュ ルームという名の一角が、実はカオスでして・・・

ロッカー スペース

まあこんな感じで、大量の文化遺産もといマンガたちが非常口横の棚に保管されていました。しかも実は、掃除のお達しがくるまでは私物が床の上に散らかってて、文字通り足の踏み場がない状態だったんですよね。これは怒られますよ。他にもロッカーの上とか廊下とかこんな感じでひどい・・・

ロッカーの上 廊下

極めつけはこれ。なぜ非常口の手前にこんな巨大なオブジェ、じゃなかった Sun Fire 4810 が落ちてるんだか。

Sun Fire 4810

というわけで、これから何枚かにわたって、地下大掃除の様子をお送りします。

掃除する前の部屋は
こんな感じだった。
こんな大量のマンガが
非常口のすぐ横に・・・
まさに地下ライブラリ
でも思う。
非常口の外が放射線管理区域で、
そんで化学棟に挟まれてるとか、
助ける気ないよね、たぶん(笑)
やっと出てきた技術文書が
10 年前のドキュメント・・・
役立たないよね、ぜったい。
もう何年も昔に
使われなくなった何か。
当然ながら廃棄対象
そしてマンガ移動完了
どこぞの通販会社の倉庫的な(笑)
chikazon.com ??

結局、掃除は実験終了後、事務室の方々や助教の先生、うちらの学年が総出になって行って、午後 2 時から 5 時くらいまでかかったのでした。

  

なんと廊下が健全な状態に! 棚がなくなった分、本当に広くなって気もちいい。宙返りでも何でも出来そう(笑) あと、足の踏み場がない上に、非常に燃えやすかった非常口も健全化。そして一番右は、配線スペース。実はさっきのディスプレイなどのせいで開かずの間だったところを開けてみたら、こうなってたんですよ。なるほど、地下室のネットワークや電源もここからディストリビューションされてたのか。ちなみに、間違って僕が全スイッチング ハブにつながってる主電源を抜いたのはご愛敬。

ふぅ、そういうわけで、地下環境も少しはマシになったんでしょうかね。

2009/12/08

【事業仕分け】 team C# の竹井氏、新井氏らの「レジスタ、型付きになる必要があるのか」発言に憤慨

team C# の CPU 刷新会議の 7 日の仕分け作業は、コンパイラ係に事実上の「ノー」を突きつけた。議論の方向性を決定づけたのは「(レジスタで)型付きを目指す理由は何か。(コンパイラによる)型検査ではだめなのか」という仕分け人の発言。結局、「CISC 立国日本」を否定しかねない結論が導かれ、team C# 幹部は「日本の型付きハード振興政策は終わった」と吐き捨てた。

この日、口火を切ったのは新井シミュレータ係。その後も「一時的にハードで実装する意味はどれくらいあるか」(花元氏)「型付きだから良いわけではない」(岡原氏)「ハードで型付きになればコンパイラにも波及というが分野で違う」(金沢氏)などと、同調者が相次いだ。

竹井氏側は「レジスタに型がないと、浮動小数点数でも整数比較をすることになる」と防戦したが、圧倒的な「型付きレジスタ不要論」を前に敗北。同チームの班長で音楽部合唱団 学生指揮者の竹井悠人(ゆうと)氏は「(型付きレジスタなしで)仮想スタック マシン立国はありえない」と憤慨していた。(花元)

(出展: http://sankei.jp.msn.com/politics/situation/091113/stt0911131914010-n1.htm)

2009/12/07

東大の環境

こんにちは、お散歩してて綺麗な風景を見ると写真撮りたくなる竹井です。だんだん冬っぽくなってきましたね!

今日はちこっとだけ、本郷キャンパスの最近の様子などを紹介します。例年だと 11 月末、つまり駒場祭くらいには銀杏かなり散ってるのですが、今年は梅雨明けが遅くて日照が少なかったのに加えて夏が涼しかったせいか、木々の葉の色づきがかなり遅かったみたいです。先週あたりまで、黄色い葉っぱと緑色の葉っぱがまざってて、まだまだカナ、って感じだったんですが、やっと今週あたりになってほぼ全部黄色くなりました。

赤門のあたり 福武ホールの前 総合図書館前から工学部 1 号館の方向

紅葉って、葉っぱの付け根が秋になってくびれてきて、光合成によって出来た糖が維管束を通じて木の幹に渡ることがなくなり、結果として糖が葉にたまってくることによっておきるらしいです。だから逆に言うと、日照が短いと葉が黄色くなるのが遅いってことなんですかね、たぶん。

安田講堂

これは安田講堂東大紛争のときに、学生が屋上で徹底抗戦し、それが機動隊によって最終的に解放されたというのはすごく有名な話です。今では卒業式などをはじめとして、ちょくちょくイベントで使われていて、この間のホームカミングデーのときにも全体講演がここで開かれました。今日はなにやらウェブ学会シンポジウムとやらが開催されていたようです。

環境整備チーム

最後に、東大の環境整備をしている方々を紹介しておきます。東大の施設部の下には、環境整備チームというものがあり、ここの方々が毎日、キャンパスの整備をしてくださっています。この間の雨でだいぶ散った銀杏の葉も、上の写真のように環境整備チームのウィンド ブレーカーを来た人たちがかき集めて掃除しています。実はこのスタッフには知的障害者の方々を採用していまして、初めて知ったとき東大としても障害者の雇用機会を積極的に増やしているという印象をもちました。やっぱり大学という施設はアカデミックな場所でありながら、社会として構成員が満足できるシステムの縮図を作ってるんでしょうかね。いつも、キャンパスを綺麗にしてくれて、ありがとうございます!

2009/12/06

連載企画 CPU 実験教室 実践編 第 2 回 新基板の動かし方

ksk 班より xyx です。早速第 2 回目です。今日は新基板の動作のさせ方について説明していこうと思います。

りるふぇすたん とは言ってもどうせ今回もたいした内容じゃないんでしょ?

電源を供給する

新基板は ATX 電源の 4pin ペリフェラル コネクタを刺すことで動作します。要するに、普通のデスクトップ PC に入っている電源の、4 つのピンが横一列に出ているピンを刺せば動くということですね。刺すとかなり抜けにくくなるという仕様なので、抜くときはがんばってください。

といっても、そもそも普通の ATX 電源は、マザーボードに刺さっているかどうか検出するピンが 20/24pin の方にあって、刺さっていることが検出できないと電源装置が動作しません。旧基板ではこちらを刺していたので問題なく動いていたわけですが、新基板ではここに単独起動用として信号を送ってやらないといけません。

ではどうすればいいかというと、やり方は簡単。「ATX 電源 単独起動」とググってもらえばいいのですが、以下のように、緑のピンと適当な黒のピンを抵抗をつなげてショートさせてあげればいいのです。

ATX 電源の単独起動の方法

Web では、抵抗をつながないというのが多いのですが、まあ、どうなんでしょうw。

もし PCI-express を使おうなどというつわものが現れたら、PC から 4pin をつなげるだけで電力供給することができるようになっているんでしょうねw (でもどう見ても PC に基板が収まらないだろ JK)

プロジェクト ファイルを設定する

前回言ったとおり、プロジェクトを作るとき、又はプロジェクトのプロパティで

family Virtex-5
device XC5VLX50T
package FFG1136
speed -1

と指定してあげれば、OK です。

あいずたん ちなみに、FPGA が使える範囲が広がっているから、合成にやたらに時間がかかっちゃうよっ!

最後に

もし何か意見・質問ございましたら下のコメント欄にお寄せください。特にあいずたんたちの性格に違和感を感じたら教えてくださいおねがいします><

2009/12/04

サイエンスカフェ @ 駒場

お久しぶりです、今日だけ理学部ジュニア TA の竹井です。実は今、駒場キャンパスの数理科学研究科棟に来ています。「私が理学を選んだ理由」というイベントで、進学振り分け前の 1 年生や理学部に進学した 2 年生向けに、具体的に学科での様子を中の学生や教員が説明したり、理学の魅力を伝えるガイダンスがあったりします。僕自身は須田先生と修士 1 年の方と一緒に、理学部 情報科学科からのブース説明要員として、訪れた学生にうちの学科のカリキュラムや講義内容、学生生活などを説明するという任務を与えられたのでした。

ちなみに、生協からコーヒーや紅茶をタンクで仕入れたり、ペットボトル入りのジュースや 8 種類ものケーキが用意されたり、かなりのサービスです(笑) まぁでも、それだけするくらいの価値があるイベントだということでしょうか。ちょっと 4 月の新歓時期に部活の説明をしたころを彷彿とさせます。

イベント自体は、16 時ごろからスタートして、自主ゼミ以外のすべての授業が終わる 18 時から大講義室でメインのガイダンスが始まります。そして 19 時半にガイダンスが終わった後は、各ブースでの説明が 20 時半まであります。まぁとりあえず、午後 4 時ごろの開店前の様子↓

情報科学科ブース ケーキいっぱい

そうはいっても、数理科学研究科棟といえば駒場キャンパスの一番はじっこ。1, 2 年生にはまったくもって用のない場所なので、かなり足が遠いのか、18 時前までほぼまったく人は来ませんでした。去年は食堂 2F でやっていたので、だいぶ人がいたみたいなんですが、今年はさすがに去年ほどの足は見込めない模様。でもやっぱり、18 時ごろからちゃんと人が来だして、大講義室はほぼ満杯になりましたよ。

大講義室でのガイダンス

これが終わったら、戦場なんでしょうね・・・いっぱい学生がうちのブースに来るといいな♪

連載企画 CPU 実験教室 実践編 第 1 回? 新基板の解説 (概要)

こんにちは、チーム ksk より愛をこめて xyx 神がお送りいたします☆ この企画は、理情名物 CPU 実験を、どこよりも分かりやすく ISer 向け (要は理情生向け) に解説していこうというものです。まだ連載できるかどうか、能力・体力的に不安なんですが、とりあえずは発表で解説したことから書いていこうかなと思っています。予定では、いったん新基板向けの話をしたら、非 09er 向けな話 (VHDL の基礎) とかもやっていこうかなと考えています。

ということで~

あいずたん みんな~、あいずたんの CPU 実験教室は~じま~るよ~っ!

さて、今回は新基板について、どんなものが載っているかから見て行きたいと思います。

りるふぇすたん って、初回からいきなりつまらなさそうな話ね。

まあ、そういわず、大事な話だから...

新基板

新基板は上の写真のような形をしています。一言で申すなら、

旧基板とは段違いに性能がいい!

FPGA について

まずは FPGA 本体についてみていきましょう

名称
XC5VLX50T-1FFG1136
設定
family Virtex-5
device XC5VLX50T
package FFG1136
speed -1

もしかして... 上の名称そのまま?

性能
CLB
(Configurable Logic Block)
アレイ 120 x 30
スライス 7,200
各スライスの LUTFlip-flop 4 個 (従来の 2 倍)
最大分散 RAM 480Kb = 60KByte
DSP48E スライス 48 個 (各スライスに 25x18 乗算器・加算器・アキュミュレータを 1 つ)
ブロック RAM 36Kb を 60 個 (36Kb のものが 18Kb x 2 としても使える)、合計 2,160Kb = 270KByte
旧基板に比べて、圧倒的に容量が増えていますので、相当キャッシュやバッファに RAM を割くことができます

(出典は http://japan.xilinx.com/support/documentation/data_sheets/j_ds100.pdf)

りるふぇすたん で、要するにどういうことなのよ? こんな表を出されてもちっともうれしくないんだけど

まあ、旧基板に比べて、ものすごーく性能が高いってことですね (汗

クロック周りについて

クロックは 2 種類出ています。

66.66MHz (15ns) メモリなどで使いやすいです
48MHz USB チップに既に入っていますので、これに同期させて USB チップを使います

あと USF に XRST っていうのがありますが、これはリセット スイッチです。旧基板では、リセット スイッチを押すと基板に書き込んだ内容が消えていたんですが、新基板では単なるスイッチになっていて、これを押すことで回路を書き込まずに最初からやり直すことができます。

IO

見て分かるとおり、LED は基板上にありません。ということで、デバッグ時は拡張基板に自分で LED をつける必要があります。拡張基板は、FPGA につながっているピンこそ違え、基盤から見ると、VCC や GND や並びは同じです。つまり、今までの拡張基板がそのまま使えます。

拡張基板への出力ピンの対応 (p: 信号, Z: 未接続)
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
GND p p p p p p p p p p GND p p p p
17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32
p p p p p p p GND GND Z 3.3V 3.3V Z 5.0V Z Z

大体こんな感じ

あいずたん 旧基板の LOC を新基板の LOC に書き換えるスクリプトが書けるよっ!

RS232C は、間に電圧を調整するだけのチップを挟んで、そのままソケットにつながってます。USB2.0 チップはクロックと同期して使う方式で、基板の方でいろいろとつながっていないピンがあるので、使用できる機能は制限されているようです。上記の 48MHz のクロック (チップの上限の速さ) が既に入っています。あと、DDR2-SDRAM (SO-DIMM, 266MHz) ソケットや PCI-express が付いているようです。DVI ソケットはなくなったようです。まあ、こんなところ触る人はいないでしょうけどw

りるふぇすたん まったく、初回からこんなので大丈夫なのかしら。

最後に

もし何か意見・質問ございましたら下のコメント欄にお寄せください

2009/12/01

地下班対抗麻雀

こんにちは、麻雀を打てない竹井です。お久しぶりです。前回の記事で、コンパイラの壮大なる仕様ミスに気付いたことは書いたとおりですが、その割にここ最近、新宿いったり、横浜いったり、馬喰町いったり、いろいろ遊びまくってて、全然仕事は進んでません、はいごめんなさい。

地下班対抗麻雀

それで、今日はまさに今進行中の地下班対抗麻雀を生中継いたします。実は、この間の TDL 企画を立ち上げたたかつさんが今回、班対抗麻雀をしようと言い出したので、班対抗の麻雀が行われることとなったのでした。いま現在は 2 卓で並列大戦が行われている模様。ちなみに、今日は授業で定例の実験進捗報告もあって、Team ksk は「班の存続をかけて戦う」(by xyx 神) らしいので、負けたら班は解散するらしいです(笑) 僕はなぜか Team C# から代表キャラとして参戦させられたんですが、全くずぶの素人・・・というかやるの初めて。

滑り出し快調!やったー、あがれたっ!

でも、本当にビギナーズ ラックってあるもんですね。サイコロ運もよかったのか、最初に親になったらしくて、そんでもって、1 発目のゲームからリーチして普通に上がれたー! 僕にはよくわからなかったんですが、ドラとかいうのがついて、結構良かったみたいですよー。

スコア ボード

ちなみに、僕のテーブルでは僕の Team C#、hota さんの Team ksk、nnnuu さんの「くい☆たん」、そして tasai さんのモン班が一緒にプレーしてまして、僕は最初の 4 回くらいのゲームにいましたよ。なかなか好調で、13,400 点くらい稼いだらしいです。対して、チーム存続がかかってる ksk 班は -37,800 点で最底辺だった模様。大丈夫なんでしょうか・・・。